DDS генератор на микроконтроллере
Был разработан простой DDS генератор с использованием микроконтроллера AT90S2313 и резистивной матрицей. Генератор управляется через RS232 небольшой Windows-программой и может генерировать следующие формы сигналов: синус, треугольник, пила, прямоугольник в диапазоне частот начиная с 0.07 Гц до 200-300 кГц с шагом 0.07 Гц (зависит от применяемого кварца).